// Oscilador !! no síntesis task T; inout io; output o; begin o = io; io = ~io; end endtask