module multiplier_tb(A, B, Ck, NRESET, Start, Rdy, C); // Entradas input [15:0] C; input Rdy; // Salidas output reg [7:0] A, B; output reg Ck, NRESET, Start; // GeneraciĆ³n del reloj initial forever #50 Ck = ~Ck; initial begin // Valores iniciales A = 0; B = 0; Ck = 0; NRESET = 0; Start = 0; // Reset general #100 NRESET = 1; // MultiplicaciĆ³n #100; A = 8'haa; B = 8'h0e; Start = 1; #100 Start = 0; // Parada @(Rdy) $stop; end endmodule