module counter ( input clk, rst, output reg [7:0] count ); parameter tpd_clk_to_count = 1; parameter tpd_reset_to_count = 1; //... endmodule // counter