module Contador(clk, reset, enable, count); // Definición de entradas y salidas input clk, reset, enable; output [3:0] count; // Declaración de count como variable tipo reg reg [3:0] count; //Asignación de la logica secuencial de conteo always@(posedge clk or posedge reset) if (reset) count <= 0; else if (enable) count <= count + 1; endmodule