all: ghdl -a alu.vhd # Análisis de alu.vhd ghdl -e alu # Elaboración de la alu ghdl -a alu_tb.vhd # Análisis de alu_tb.vhd ghdl -e alu_tb # Elaboración de la alu_tb ghdl -r alu_tb --stop-time=100ns --vcd=alu_tb_vhdl.vcd # Simulación del testbench rm alu alu.o alu_tb alu_tb.o e~alu.o e~alu_tb.o work-obj93.cf # Borrado de archivos temporales clear: rm alu alu.o alu_tb alu_tb.o alu_tb_vhdl.vcd e~alu.o e~alu_tb.o work-obj93.cf