module sum ( input [7:0] sum1, sum2, // por defecto, los puertos son wire output [7:0] res ); assign res = sum1 + sum2; endmodule // sum