`timescale 100ns/1ns module ffd (input clk, reset, d, output reg q); always @(posedge clk or negedge reset) if (reset==0) q <= 0; else q <= d; endmodule //ffd