module rom_32x4 (input [4:0] addr, output reg [3:0] dout); always @(addr) case (addr) 0:dout = 4'b1110; 1:dout = 4'b0100; 2:dout = 4'b1110; 3:dout = 4'b1001; 4:dout = 4'b1111; 5:dout = 4'b0011; 6:dout = 4'b1000; 7:dout = 4'b0001; 8:dout = 4'b0110; 9:dout = 4'b0001; 10:dout = 4'b1100; 11:dout = 4'b0000; 12:dout = 4'b0110; 13:dout = 4'b0000; 14:dout = 4'b0100; 15:dout = 4'b0110; 16:dout = 4'b1110; 17:dout = 4'b0100; 18:dout = 4'b1110; 19:dout = 4'b1001; 20:dout = 4'b1111; 21:dout = 4'b0011; 22:dout = 4'b1000; 23:dout = 4'b0001; 24:dout = 4'b0110; 25:dout = 4'b0001; 26:dout = 4'b1100; 27:dout = 4'b0000; 28:dout = 4'b0110; 29:dout = 4'b0000; 30:dout = 4'b0100; 31:dout = 4'b1111; endcase endmodule // rom_32x4