module dffr (input clk, reset, a, ce, output reg c); always @(posedge clk or negedge reset) if (!reset) c = 0; else if (ce) c = a; endmodule // dffr