module dffe(clk, a, b, c, sel); input a, b, sel, clk; output c; reg c; always @(posedge clk) if (sel) c = a; else c = b; endmodule