module sum4 (a, b, c, d, e, sum1, sum2, sum3); input [31:0] a, b, c, d, e; output [31:0] sum1, sum2, sum3; wire [31:0] a_plus_b; assign a_plus_b = a + b; assign sum1 = a_plus_b + c; assign sum2 = a_plus_b + d; assign sum3 = a_plus_b + e; // Genera 4 sumadores endmodule